翻訳と辞書
Words near each other
・ Unified National Leadership of the Uprising
・ Unified Nepal National Front
・ Unified Network Platform
・ Unified neutral theory of biodiversity
・ Unified numbering system
・ Unified Parallel C
・ Unified Parkinson's disease rating scale
・ Unified Party of Haitian Communists
・ Unified Patent Court
・ Unified Patents
・ Unified Police Department of Greater Salt Lake
・ Unified Political Command
・ Unified Popular Action Front
・ Unified Popular Front
・ Unified power flow controller
Unified Power Format
・ Unified Primary
・ Unified Process
・ Unified Progressive Party
・ Unified Radical Liberal Party
・ Unified Remote
・ Unified S-band
・ Unified school district
・ Unified Science
・ Unified settlement planning
・ Unified shader model
・ Unified Smart Grid
・ Unified Socialist League
・ Unified Socialist Party
・ Unified Socialist Party (Burkina Faso)


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

Unified Power Format : ウィキペディア英語版
Unified Power Format
Unified Power Format (UPF) is the popular name of the Institute of Electrical and Electronics Engineers (IEEE) standard for specifying power intent in power optimization of electronic design automation. The IEEE 1801-2009 release of the standard was based on a donation from the Accellera organization.
==History==
A Unified Power Format technical committee was formed by the Accellera organization, chaired by Stephen Bailey of Mentor Graphics.
As a reaction to the Power Forward Initiative the group was proposed in July 2006 and met on September 13, 2006.
It submitted its first draft in January 2007, and a version 1.0 was approved to be published on February 26, 2007.〔(【引用サイトリンク】work= Accellera public groups area )
Joe Daniels was technical editor.〔(【引用サイトリンク】publisher= Accellera )
Files written to this standard annotate an electric design with the power and power control intent of that design. Elements of that annotation include:
* Power Supplies: supply nets, supply sets, power states
* Power Control: power switches
* Additional Protection: level shifters and isolation
* Memory retention during times of limited power: retention strategies and supply set power states
* Refinable descriptions of the potential power applied to the electronic system: power states, transitions, a set of simstate, pg_type and function attributes of nets, and the -update argument to support the progressive refinement of the power intent.
The standard describes extensions to the Tool Command Language (Tcl): commands and arguments for anotating a design hierarchy which has been read into a tool.
Semantics for inferring additional elements in the design from the intent are provided in the standard.
Digital designers, IP Block providers, Physical Designers, and Verification engineers make use of this standard language to communicate their design intent and implementation with respect to the variable power of an electronic system.
The Design Automation Standards Committee (DASC) of the IEEE Standards Association sponsored working group 1801, with the project authorization approved on May 7, 2007.〔(【引用サイトリンク】work= Hypermail archive )
Goals included:
* clarify the semantics of the intent - this provides portability of design intent across many vendors tools
* Add support for incremental refinement - Platinum source (constraints) from IP vendors, Golden source (configuration) from IP integrators, and Silicon source (implementation choices) from those that realize the instantiations.
* Add support for bottom up and top down design
* add documentation of the support for wildcard and regular expression selection of design instances
* clarify the differences between ports and pins
* provide for convergence capability from both UPF and Common Power Format of the Silicon Integration Initiative (Si2)
The IEEE group was initially called the "Low Power Study Group". Proposed standards have the letter "P" in front of them (such as P1801), which is removed and replaced with a dash and year when the standard is ratified.
Accelera's UPF 1.0 was donated to the IEEE as a basis of this standard in June 2006.〔(【引用サイトリンク】publisher= Accelera Organization Inc. )
After reviewing 14 drafts, on March 27, 2009, the "Standard for Design and Verification of Low Power Integrated Circuits" was published as IEEE Std 1801-2009. It is sometimes called UPF 2.0.
Bailey was also chairman of the IEEE group.
Another notable supporter of the standard was Synopsys.〔(【引用サイトリンク】publisher= Synopsys )
A follow-on project planned to develop a list of frequently asked questions (FAQ) about the specification.〔

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「Unified Power Format」の詳細全文を読む



スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.